主题:【分享】材料科学专业英语词汇(A-Z)

浏览0 回复65 电梯直达
可能感兴趣
熊猫宝宝
结帖率:
100%
关注:0 |粉丝:0
新手级: 新兵
High-alhali cement 高硷水泥
High-alumina brick 高铝砖
High-alumina cement 高铝水泥
High-alumina refractory 高铝耐火材料
High-angle boundary 高角晶界
High-conductivity berllium copper 高导电铍铜合金
high-duty fireclay brick 高级火黏土砖
High-early streagth Potland cement 早强波德兰水泥
high-early-strength cement 早强水泥
High-energy-rate forming 高能率成形
high-frequency heating 高频加热法
High-frequency induction furnace 高周波感应电炉
High-frequency induction hardening 高周波硬化
high-frequency induction heating method 高频感应加热法
High-frequency welding 高周波熔接
high-leaeded brass 高铅黄铜
High-manganese steel 高锰钢
High-phosphorous pig [iron] 高磷生铁
High-silicon bronze 高矽青铜
High-silicon cast iron 高矽铸铁
High-speed steel 高速钢
High-temperature alloy 耐高温合金
High-temperature carburizing 高温渗碳
High-temperature corrosion 高温腐蚀
High-temperature oxidation 高温氧化
High-temperature refractory 高温耐火材料
High-temsile steel 高强度钢
High-tension steel 高强度钢
High-velocity forging 高速锻造
high-velocity thermocouple 高速热电偶
high-zinc brass 高锌黄铜
Hindered contraction 限缩变形
Hiperco 海派钴(高导磁铁钴铬合金)
Hipernick 海派镍(高导磁率合金50%Fe,50%Ni)
histogram memory 条带图记忆器
hit rate 击中率
Hoffmann kiln 何夫曼窑
Hogging 切整(用焰切法)
Holding furnace 保温炉
Hole 孔
Holfos bronze 难弗青铜(今10%-23%Sn 之青铜)
hollow billet 中空钢胚
hollow block 空心砖
hollow casting 空铸法
hollow clay block 空心黏土砖
Hollow-brick 空心砖
hollow-ware 深皿
Holmium  (ho,67)金火
Holocrystalline 全结晶质
hologram 全像片
Holography 全像摄影
Homogeneity 均质
Homogeneous carburizing 均质渗碳
Homogeneous deformation 均匀变形
Homogeneous material 均质材料
Homogeneous nucleation 均态成核
Homogeneous structure 均质组织
Homogenization 均质化,均质作用
Homogenizing 均质化
Homogenizing anneal 均质化退火
Homopolar bonding 同极结合
Honda alloy 本田合金(永久磁体,15-35%Co,10-25%Ni,8-25%Ti 其余为Fe)
hone 搪磨石
Honing 搪磨
hood 通风橱;排气罩
Hook gauge 钩式度量尺,钩尺
Hook's law 虎克定律
Hookean solid 虎克固体
Hoop iron 箍铁
Hopkins process 霍普金法
Hopper 漏斗
horizontal Bridgman method 水平型布里志曼法
horizontal loader 树脂接合
Horizontal press 帮式压机
Horn press 角台压机
horse 梯桁;鞍形支架
Horse power 马力
Horseshoe magnet 马蹄铁形磁体
hot acid circulation and filtration system 高温酸液循环过滤系统
Hot air stove 热风炉
hot air (gas)
drying equipment 热空气乾燥设备
Hot bent test 热变试验
hot blast cupola 热风焙铁炉
hot blast furnace 热风炉
Hot casting crack 热铸裂
Hot cathod ionization gauge 热阴极游离[真空]计
Hot cell 辐射室
hot chuck 高温夹具
Hot coining 热压印
Hot cold work 热冷加工
Hot cracking 热裂
Hot deformation 热变形
Hot dipping 热浸法
Hot drawing 热拉法
Hot extrusion 热挤
hot floor 热炕
Hot forging 热锻造
Hot forming 热成形
Hot hardness 高温硬度
Hot heading 热锻粗
Hot hubbing 热模压
Hot junction 热接端
Hot laboratory  (hot lab)热实验室(放射性材料实验室)
Hot peening 热珠击法
Hot peening marquenching 热珠击麻淬火
Hot permeability 热通气性
hot plate oven 热平板烘烤炉
Hot pressing 热压制
hot rolled bar steel 热轧钢条
Hot rolled sheet steel 热轧钢片
Hot rolling 热轧
Hot sawing 热锯切
Hot shearing 热剪裁
hot shortness 热脆性
Hot sizing 热修准
Hot stage 热载台(显微镜用)
Hot stage microscope 高温载台显微镜
Hot stretching 热伸展
Hot tear 热裂
Hot tinting 热着色法
Hot top 热顶
Hot trimming 热修边
Hot upsetting 热锻粗
hot wall 热壁
Hot wall furnace 热壁炉
Hot work 热加工,热作,高温加工
Hot working die steel 热[作]模钢
hot zone parts 加热区域组件
hot-blast stove 热风炉
hot-carrier test 热载子试验
Hot-dip metallic coating 热浸金属护膜
hot-metal ladle 熔金属盛桶
hot-metal mixer 熔铁混合器
hot-patching 热补法
hot-plate spalling test 热板剥落试验
Hot-strip mill 板条热轧机
Hot-wire process 热丝精炼法
hot0blast main 热风主道
hotel china 旅馆用瓷;厚质餐瓷
Hotfeld time-yield test 哈特菲时间对降代潜变试验
Hounsfield tensometer 韩司菲尔张力计
Hour-glass fracture 沙漏形破裂
Hovel kiln 贺非窑;罩窑
Hover kiln 气垫隧道窑
Hoyt No.11 赫意德十一号合金(轴承金属2.5%Cu,7%Sb 其余为锡)
hub blade 毂状磨石刀片
Hubbing 轮毂成形,阴模攻制
Huber's alloy 胡伯合金(85%
Ce, 其余为铁之一种打火合金)
hubless blade 无中枢刀片
Huggenberger tensometer 胡根伯格伸长计
Hume-Rothery phase 休木若塞瑞相
humidity dryer 调湿乾燥室
Humman body corrosion 人体腐蚀
Humphrey's reagent 韩福瑞试剂
Humphreys spiral concentrator 韩福瑞蜗旋机
Huntington-Heberleim sink and float 亨汀顿海布林浮沉法
Hy-tuf steel 强勒钢(典型成分为0.25%
C,1.2%Mn,1.5%Si,1.8%Ni,0.4%Mo)
hybrid scan 混合式扫描
Hydra steels 海着钢(类似热模钢)
Hydrated alumina 水化铝氧
Hydrated lime 消石灰
Hydration 水合[作用]
hydraulic blade tensioning 油压式张力刀片
Hydraulic cement 水硬性水泥
Hydraulic forming 液压成形
Hydraulic lime 水硬石灰
hydraulic press 液压机
hydraulic setting refractory 水凝[性]耐火物
Hydraulic sizer 水力筛分机
hydraulicking 水掘法
Hydrazine 联胺(无色液体
N2H4, 为一强还原剂)
Hydride 氢化物
Hydride descaling 氢化物去锈法
hydro-blast 高压水清砂机
Hydrochloric acid 盐酸
Hydrocyclone classifier 湿式旋涡分级机
hydrofluoric acid hydrogen peroxide mixture cleaning solution 氢氟酸过氧化氢混合洗涤液
hydrogen blistering 氢泡伤
Hydrogen damage  (hydrogen attack)氢害
Hydrogen electrode 氢电极
hydrogen embrittlement 氢脆性,氢脆化
Hydrogen film 氢膜
hydrogen gas burning 氢气燃烧
Hydrogen loss 氢内损常(p/m)
Hydrogen overvoltage 氢过[电]压
hydrogen termination 氢终结化
hydrogen-treating process 氢处理法(搪瓷)
Hydrometallurgy 水冶金,湿法冶金
hydrometer[ 液体]比重计
Hydronalium 海装铝(含2-10%Mg, 0.2-1.5%Si,0.2-1.0%Mu 的一系列铝合金)
hydropgen annealed wafer 经氢气退火之晶圆
Hydrophilic colloid 亲水胶体
Hydrophobic colloid 疏水胶体
Hydroseparator 水力分离器
Hydrosol 水溶胶
Hydrostatic extrusion 静力液挤压
Hydrostatic pressing 液均压法(粉未冶金)
Hydrostatic tension 均张力
Hydryzing 氢流热处理
hygrometer 湿度计
Hypereutectic 过共晶
Hypereutectic alloy 过共晶合金
Hypereutectic cast iron 过共晶铸铁
Hypereutectoid alloy 过共析合金
Hypereutectoid cast iron 过共析铸铁
Hypereutectoid steel 过共析钢
Hypocrystalline 亚结得质
Hypoeutectic 亚共晶
Hypoeutectic cast iron 亚共晶铸铁
Hypoeutective alloy 亚共晶合金
Hypoeutectoid steel 亚共析钢
Hysteresis loop 磁滞环
hystersis 迟滞
hystersis loss 迟滞损失
熊猫宝宝
结帖率:
100%
关注:0 |粉丝:0
新手级: 新兵
i-line stepperi 线步进机
i-type semiconductori 型半导体
I/O switching transitionI/O  介面转换时间
ice cleaning equipment 冰粒洗涤机
ice jet cleaning equipment 冰粒喷射涤装置
ID mark 辨识标记
IDD quiescent test 等待电流静态测试
ignition arch 点火拱
illite 伊莱石(从矿)
illumination intensity at image plane 影像面照度强度
illumination system 照明系统
illumination uniformity error 照度均一误差
ilmenite 钛铁矿
image data memory 影像数据记忆器
image data processing 影像数据处理
image data processor 影像数据处理器
image field 影像图场
image processor unit 影像处理器单元
imbibition 吸液膨胀
immersion wet etching system 浸渍式蚀刻系统
impact mill 冲击机
impact resistance 冲击抗力
impervious 不渗透
implant chamber 离子植入式
impregnated-carbon silicon carbide 碳渍碳化矽
impurity level 杂质能阶
impurity trap 杂质陷阱
in circuit emulater 插入电路模拟版
in-glaze decoration 釉内彩[饰]
in-situ 就地,在现场,自然(环境)
incising 雕饰  
incongruent melting 分熔
indentation 压痕
indenting 缺口
index amount 指数量
indexer 指标器,索引器
indexing 指数标定;转位
indirect-arc furnace 间接电弧炉
individual wafer retrieval 晶圆片个别取出
induction furnace 感应电炉
induction heating 感应加热[法]
induction heating evaporation system 感应加热蒸镀系统
inductive coupled plasma enhanced CVD system 电感性耦合等离子体增强型CVD 系统
inductively coupled plasma etching system 感应耦合型等离子体蚀刻系统
infeed grinding 输送中研磨
infra-red drying 红外线乾燥[法]
infrared absorption spectroscopy 红外线吸收光谱学
infrared annealer 外线退火处理机
infrared heating method 红外线聚集加热法
infrared laser scattering tomograph 红外光雷射散射断层
X 光摄影装置  
infusorial earth 矽藻土
ingot 晶锭
ingot cutting face bow 晶锭切断面弯曲
ingot end face measuring system 晶锭端面弯曲测式系统
initial set 初凝
injection moulding 射入模制
injection pressure 注入压力
injection speed 注入速度
injector 注入器
ink jet printer 喷墨式印刷机
inker 印字机
inlaying 镶嵌
inline heater 管线上加热器
inline system 线上系统,插列系统
inner diameter blade 内径刀片
inner diameter saw 内径锯子
inner diameter saw with built-in grinder 内径锯子研磨机
inner lead 内部引线
inner lead bonder 内部引线接合机
inner lead bonding 内部引线接合  
inorganic pigment 无机颜料
input 输入图案:预期图案
input pattern stimulus 输入图案信号资料群
input slew rate 输入变化率
input/output buffer information 出入/输出缓冲器资讯规格
insert ring 插入环
inserter remover 插入拔除机
inside heating method 内部加热法
inspection of dust particle on wafer 晶圆表面灰尘检查
instrumentation rack 仪器架
insufflation 喷灰入窑
insulating refractory 绝热耐火物
intaglio 凹雕(玻)  
intellectual property 智慧财产权
inter leaf loader 隔片装载机  
interface between solid and melt 固液体界面
interface unit 介面单元  
interlocking tile 互扣瓦
intermediate crusher 中级轧碎机
periodic kiln internal die pressure 模内压力
internal gear 内部齿轮
internal gettering 内部吸器
internal torch unit 内部火炬装置
interstitial oxygen 晶格间氧气,格隙氧气
interstitial siteintrinsic gettering 本徵吸器
intrinsic semiconductor 本徵半导体
intumescenc 胀大
inversion 转化
inverted pyramidal collet 颠倒金字塔型吸具
investment casting    ion beam 离子束
ion beam etching system 离子束蚀刻系统
ion beam lithography 离子束微影术
ion beam sputtering system 离子束溅镀系统
ion current 离子电流
ion energy 离子能量
ion exchange membrane 离子交换膜
ion implantation 离子值入,离子移植
ion implanter 离子注入机
ion micro probe (mass)
analysis method 离子微探针质谱仪分析法
ion micro probe(mass)analysis method 离子微探针质谱仪分析法
ion milling system 离子铣削系统
ion plating system 离子喷镀系统
ion source 离子源
ion source magnet 离子源磁铁
ionic bonding  ionic exchange 离子交换
ionized cluster beam evaporation system 成团离子线束蒸镀系统
IPA vapor drying 异丙醇(IPA)蒸汽乾燥
IR dropIR  电压降
IR oven 红外线烘烤炉
irising 生晕(玻)
iron modulus 铁模数(泥)
iron notch 出铁口
iron spot 铁斑
iron-zirconium pink 铁钴红
ironstone china 硬质陶器
isomer 异构物
isostatic pressing 均压法;等压压制
isotropic etching 各向同性蚀刻,等向性蚀刻
Italian tiles 义大利式面砖
熊猫宝宝
结帖率:
100%
关注:0 |粉丝:0
新手级: 新兵

jack arch 平拱
jamb wall 侧墙
jamming 接收干扰
jamming rate 干扰率
jar mill 瓶磨
jasper ware 贾士巴陶石器
jaw crusher 颚[式轧]碎机
jena glass 耶那玻璃
jet dryer 喷射乾燥器
jeweller's enamel 质饰珐琅
jiggerjiggering jiggerman 旋坯工;拉坯工(玻)
joint line 接缝
joint test action group 联合测试推动团体
jolleyjolt moulding 震捣模制[法]
Jominy end quench test jump function 跳越功能
just etching 适量蚀刻
kaolin 高岭土
kaolinite 高岭石
kaolinization 高岭土化[作用]
Cassel kiln Keene's cement, Keene's plaster 克恩水泥
Kelly ball test 克里球试验(泥)
Kelly sedimentation tube 克里沉积管
Kelvin contact 开耳芬接点
Kelvin temperature scale 克耳文温标(从化工)
kerf inspection 劈痕检查
kerf loss 截口损失
kerf thickness 刀刃(截口)厚度
Key brick 键砖
kibushi-clay 木节土
kieselguhr 矽藻土  
kiln basin 料浆池
kiln bedding 窑料层
kiln car 窑车
kiln crown 窑顶
kiln floor 窑床
kiln foundation 窑基
kiln furniture 窑具
kiln lining 窑衬
kiln pier 窑台
kiln quadrant 窑象限
kiln shell 窑壳
kinematic coupling 运动学上之耦合
Klein turbidimeter 凯林浊度计
Knocking 膏震(泥)
knockings 滤渣(陶)
Knoop hardness test  knot 节(波)
known good die 已知合格之晶片
Knudsen cell 克努森容器
Kohn pipette 丘氏吸管
Kuhl cement 库耳水泥
kyanite 蓝晶石
熊猫宝宝
结帖率:
100%
关注:0 |粉丝:0
新手级: 新兵
lacustrine clay 湖积[黏]土
Ladd circular tunnel kiln 雷氏圆形隧道窑
ladder 支架(玻)
ladle 熔桶(陶);长柄勺(玻)
ladle brick 熔液盛桶砖
laitance 渗出物(泥)
laminate 叠片
laminated glass 层合玻璃
lamination 层状组织(陶)
lamp arrangement 灯泡排列
lamp base cement 灯泡黏合剂
lamp power control 照射灯功率控制
lamp-blown 喷灯吹制(玻)
lancing 清除
lap 摺痕(玻)
lapped wafer 经磨光晶圆
lapping  lapping wafer 刚磨光晶圆
larnite 矽灰石
laser  laser ablation 雷射烧蚀
laser annealer 雷射退火处理机
laser assisted CVD system 雷射辅助型CVD 系统
laser beam prober 雷射光束探测器
laser beam test system 雷射光束测试系统
laser beam welding equipment 雷射光束焊接装置
laser bonding 雷射接合
laser cavity 苗射谐振腔
laser doping system 雷射掺杂系统
laser homogenizer 雷射波束均匀化机
laser mark 雷射标记
laser marker 雷射标印机
laser microscope 雷射显微镜
laser output stability 雷射输出稳定度
laser power 雷射功率
laser processing system 雷射处理系统
laser scattering tomograph defect 雷射散射X 光断层摄影缺陷
laser soldering laser surface scanner 晶圆表面雷射扫描器
lathe 车床  
lattice brick 格子砖
lattice constant 晶体常数;点阵常数
lander layout driven synthesis 布置驱动合成
layout editor 配置编辑器
layout parameter extraction 配置参数萃取
layout versus schematic 配置、检图对照
layout-circuit comparison 配置电路比较
leachinglead coplanarity 脚端同平面性
lead cutting/lead trimming 脚端切断/脚端修剪
lead forming 脚端整形,脚端弯曲
lead frame 引导框架,花架
lead frame loader 引导框架装载机
lead glaze 铅釉
lead locater 引线定位器
lead on chip 脚端由晶片引线端焊接之封装体
lead poisoning 铅毒;中铅毒
lead solubility test 铅溶度试睑
leadless glaze 无铅釉
leaf type contract 薄板型接触
lean clay 贫黏土
leather-hard 半乾
lehr 徐冷窑
length of fresh wire feeding 新线馈线长度
lens projection aligner 透镜投影对准曝光器
lens-fronted tubing 凸面玻管
lepidolite 鳞云母
Lessing rings 勒辛陶圈
leucite 白榴子石  
license 许可证、执照
life time 寿命
lifetime measurement system( 载子)寿命测试系统
light 低折射率[光学]玻璃
light figure method 光像法
light integrator 光线积分器
light metal  light scattering measurement system 光散射测量系统
light scattering measurement system  (LSM)光散射测量系统
light scattering method 光散射法
light weight aggregate 轻质骨材
limbo 备用行与被用列之焦点
lime 石灰
lime refractory 石灰耐火物
lime saturation ratio lime-slag cement 石灰熔渣水泥
limestone 石灰石
Lindemann glass 林得曼玻璃
line and space/L&S 线与间隙/
L&S line defect 线缺陷
line defect test 线缺陷试验
line width accuracy 线宽精确度
lineage defect 系属缺陷,系谱缺陷
linear test system 线性测试系统
linear tube 线性温度加热管,均热管
link cut data 链环切断资料
link to layout 连接布置
liquid burn-in system 液体老化测试系统
liquid encapsulation Czochralski method 液体密封切克劳斯基法
liquid goldbright gold liquid phase epitaxial growth system 液相磊晶生长系统
liquid source delivery system 液体源输送系统
liquid spill sensor 液体溢流感测器
liquidus  litharge 密陀僧
lithium- metal-polymer battery 锂高分子电池
lithography 石印[术]
lithophane 透光浮雕
load 产量(玻)
load board 搭载基板
load circuit 负载电路
load power supply selective relay 负载电源选择继电器
load test furnace 载重拥验炉
load test under heat 加热载重试验
load-bearing tile 承重砖
load-lock chamber 加载互锁真空室
loader 装载机、装料机
loading effect 搭载效应
loading frame 装载架
loam 坏土
local electric field effect 局部电场效应
local memory 局部记忆器
local thickness variation 局部厚度变动
location pin 定位插栓
log-normal graph 对数正规图表
log-normal plot 对数正规图表
logging memory 记录记忆器
logic memory mixed design 逻辑记忆混合设计
logic simulator 逻辑模拟器
logic synthesis/logic synthesizer 逻辑合成/逻辑合成器
logical conversion 逻辑变换
long glass 缓硬玻璃
long throw sputtering method 长抛溅射法
loop 环路
loop control 环路控制
loop height 环路高度
loop shape 环路形状
loose abrasive 松弛研磨料
loss on iignitionLOI low current ion implanter 小电流离子注入机
low energy electron diffraction method 低能电子绕射法
low energy ion implanter 低能量离子注入机
low power design 低耗电设计
low pressure CVD system 低压CVD 系统
low pressure vapor phase epitaxial growth system 低压汽相磊晶生长系统
low presure vapor phase epitaxial growth system 低压汽相磊晶生长系统
low temperature etching 低温蚀刻
low-alkali cement 低硷水泥
low-duty fireclay brick 低级火黏土砖
low-expansion glass 低胀玻璃
low-frequency induction furnace 低频[率]感应[电]炉
low-heat cement 低热水泥
low-melting enamel 低熔搪瓷
lower lapping plate 下盘磨盘/下定盘
lower surface grinding width 下侧平面研磨宽度
Luder bands  luminescent enamel 发光珐琅
lumnite cement 高铝水泥(商名)


 
熊猫宝宝
结帖率:
100%
关注:0 |粉丝:0
新手级: 新兵
M-alkalinityM 硷度
m-vinylphenol 邻乙烯基酚
m-vinyltoluene 间乙烯基苯
Mack's cement 麦克胶合剂
macromolecule  magazine 接合机收纳盒,弹匣
magazine stacker 接合机收纳盒堆叠机
magic mirror method 魔镜法
magnesia 镁氧;苦土
magnesioferrite 镁铁矿
magnesite 菱镁矿
magnesite brick 镁砖
magnesite-chrome brick 镁铬砖
magnesite-chrome refractory 镁铬耐火物
magnesium aluminate 铝酸镁
magnesium fluoride 氟化镁
magnesium oxychloride cement 镁氧氯水泥
magnesium titanate 钛酸镁
magnetic ceramics 磁性陶瓷
magnetic coupled feedthrough 磁耦合旋转馈通
magnetic field applied Czochralski crystal growth method 施加磁场切克劳斯基结晶成长法  
magnetic levitation transfer 磁悬浮输送
magnetic neutral loop discharge 磁中性环路放电
magnetic particle test 磁粉探伤
magnetic separator 磁力分离器
magnetite 磁铁矿
magnetization  magneto-microwave plasma etching system 磁场微波型等离子体蚀刻系统
magnetron 磁控管
magnetron discharge 磁控管放电
magnetron enhanced reactive ion etaching system 磁控管增强型反应性离子蚀刻系统
magnetron sputtering system 磁控管溅镀系统
magnification correction system for atmospheric pressure 大气压对应投影透镜放大率校正系统
main arch 主拱
main discharge 主放电
main roller 主滚轮
maiolica  man machine interface 人机介面
manganese glass 锰玻璃
manganese-alumina pink 锰铝红
mangle 卷扬乾燥器
manipulator 操纵器,键控器
mantel block 挡砖[块]
manual station 手动测试站
Marangoni drying 马兰葛尼乾燥
marbling 大理石纹釉
marcasite 白铁矿
marco-crystalline 巨晶
margarite 珍珠云母
marine clay 海面[黏]土
Mariotte tube 马氏黏度计
marker 标印器
marking 加标记,打标印
marking accuracy 标印精确度
marking area 标印面积
marking ink 标印油墨
marking machine 标印机器
marking optics 标印光定系
marking speed 标记速度
marking strength 标印附着强度
marking visibility 标记可见性
marl 泥灰岩  
mask 孔板,障板
mask holder 遮光罩固定器
mask loader/mask changer 遮光罩搭载器/遮光罩更换器
mask stage 遮光罩载物台
masking blade 遮光板,掩蔽片
masking power 遮盖力
masonry 圬工
masonry cement 墁砌水泥
mass analyzing capability 质量分析能力
mass analyzing system 质量分析系统
mass resolution 质量分解力
master mould 原模
matching box/matching network 匹配箱/匹配网路
matching piece 配合槽
matching unit 匹配单元
material lift travel 材料升降行程
material safety data sheet 材料安全数据清单  
matrix frame 矩阵式引线框架
matrix probing 矩阵检测
matt blue 无觉蓝
matt glaze 无光釉
maturing range 成熟范围
mazarine blueroyal blue mean diameter 平均直径
measurement method of interstitial oxygen content in silicon wafer by infrared a 以
P 偏光布儒斯特角入射,靠红外光吸收光谱学之矽晶圆晶格间隙氧气浓度测试法
measurement method of interstitial oxygen content in silicon wafer by infrared a 以
p 偏布儒斯特角入射,靠红外光吸收光谱学之矽晶圆晶格间隙氧气浓度测试法
mechanical analysis 机械分析
mechanical blade tensioning 机械式张力刀片
mechanical chuck 机械式夹头
mechanical press 机力压机
mechanical scan 机械式扫描
mechanical spalling 机械剥落法
mechanical water 机械水
mechanicl shovel 机力铲
mechano chemical polishing 机械化学抛光加工
medium current ion implanter 中电流离子注入机
medium energy ion implanter 中能量离子注入机
medium surface 中间面
medium-duty fireclay brick 中级火黏土砖
mega cell 超大型功能电路胞
megasonic cleaning equipmentMHz 超音波洗条设备
melt 融解
melting 熔化
melting area 熔化区
melting end 熔化端
melting point 融点
melting temperature 熔[化]温[度]
melting zone 熔化带
membrane curing 液膜养护
membrane probe card 薄膜探测卡
membrane theory of plasticity 塑性液膜论
memory exerciser 记忆体测试程式
memory test pattern 记忆体测试图案
memory under test 被测试记忆体
mending slip 修补泥浆  
mercury penetration method 汞渗法
mesh[ 筛]目;筛孔
metahalloysite 偏多水高岭土
metakaolin 偏高岭土 
metal bonded grinding wheel 金属结合研磨轮
metal contamination level 金属污染等级
metal CVD method 金属
CVD 法
metal line 玻膏面
metal organic CVD system 有机金属
CVD 系统
metal organic molecular beam epitaxial growth system 有机金属分子束磊晶生长系统
metal organic vapor phase epitaxial growth system /MOVPE system 有机金属汽相磊晶生长系统/
MOVPE 系统
metal protection 金属保护[法]
metal surface treatment 金属表面处理  
metallic decoration 金属彩饰
metallic dryer 金属乾燥剂
metallic luster 金属闪光料
metallic material 金属材料
metallizing 金属化
Metallurgical Thermodynamics 治金热力学
metallurgymica 云母
micro bubble 微细气泡
熊猫宝宝
结帖率:
100%
关注:0 |粉丝:0
新手级: 新兵
micro computer ASIC(ASIC) 微电脑
micro-hardness 微硬度
microcline 钾微斜长石
microcomputer software 微电脑软体
microlite 微晶
microloading effect 微型加载效应
micromeritics 微粒学
micrometry 显微术
microminiaturization 微尘化
micron  microroughness 微型粗糙度
microscopy  microstructure  microvoid coalescence  (MVC) 
microwave plasma enhanced CVD system 微波等离子体增强型
CVD 系统
mil 密耳(1/1000寸)
milk glass 乳白玻璃
mill addition 磨机添加剂
Miller Bravais indices 密勒布喇菲指数  
mineral wool 矿物绵
mineralizer 矿化剂
minimum detectable pulse width 最小可?测脉冲宽
minimum pulse width 最小脉冲宽
minium 铅丹
mirror 反射镜
mirror lens projection aligner 镜面透镜对准曝光器
mirror projection aligner 镜面投影对准曝光器
mirror wafer 镜面晶圆
misfire 点火不良,无法发射
mismatch 失配
mist in bowl 碗盘内雾状
mix 混合料
mix simulator 混合模拟器
mix-and-match/M&M 混合与匹配/
M&M mixed dislocation  mixed gas 混合气体
mixed level simulator 混合位阶模拟器
mixed level test bus 混合位阶测试用汇流排
mixer 混合机
mixture 混合物
mobility 迁移率
model 模样(陶)
model parameter extraction 模型参数萃取
modeling 塑造
modeling clay 可雕塑成形的土;雕塑土;油土
modified illumination 变形照明
module 模组
module generator 模组产生器
module test 模组测试
modulus 模数
modulus of elasticity 弹性模数  
modulus of rigidity 刚性模数
modulus of rupture  Mohammedan blue 回回青
Mohs' hardness 莫士硬[度]标
Moir'e topography 莫氏构形学
moisture content 水分
moisture expansion 水分膨胀
mold clamping force 成型夹住力
mold cleaner/die cleaner 铸模洗涤器
mold release/release agent 铸模分离/铸模分离剂
mold temperature 铸模温度
molding die 成型铸模
molding equipment 塑模成型装置,封胶装置
molding press 封胶冲压
molding time 成型时间
molecular beam epitaxial growth system 分子束磊晶生长系统
molecule  molten-cast refractory 熔铸耐火物
monazite 独居石
Monel alloy 蒙纳合金(商名)
monitor 侦查器
monitor board 监测基板
monitored burn-in system 监视老化测试系统
monochrome decoration 单色彩
monolithic 整块的
monomer  monticellite 钙橄榄石
montmorillonite 微晶高岭石
mortar 墁料;水泥胶砂;灰泥;灰浆
mosaic 马赛克;驻嵌瓷片
mother board 母基板
MotifMotif 图形介面
mottling 斑点彩饰
moudlus of rupture 破坏模数
mould 模型
mould brick 模砖
mould mark 模痕
mount plate/top plate 固定板/上方板
mounter 安装器
mounting machine /mount station 晶圆固定机
mouse hole 老鼠洞
mouthpiece 口型
moving picture experts 动画专家群
mud gun 泥枪
muffle 隔焰室
muliple tilt angle implantation 多倾斜角植入
mulit media 多媒体
mulit media microcomputer 多媒体微电脑
muller mixer 碾式混合机
mullite 富铝红柱石
multi band saw 多条带锯子
multi blade saw 多刀片锯子
multi cassette rotor 多个套装匣转子
multi cycle annealing 多循环退火处理
multi magazine type 多个收盒型
multi pulling method 多段拉晶法
multi step annealing 多步骤退火处理
multi steps annealing 多步骤退火热处理
multi wire saw 多钢线锯子
multi-bath wet cleaning equipment 多槽浸渍式洗条装置
multi-bit memory application function 多位元记忆体应用功能
multi-bucket excavator 着斗挖土机
multi-cellular glass 泡沫玻璃
multi-chamber vacuum system 多室真空系统
multi-passage kiln 多隧窑
multi-plunger molding die 多柱塞型成型铸模
multi-site probing 多部位探测
multi-station cleaning equipment 多处理站洗条装置
multi-station synchronous probe test 多数测试站同步探针测试
multichip module 多晶片模组
multiplayer mirror optics 多层镜面光学
multiplayer resist method 多层抗蚀剂法
multiple bonding 多数个晶片接合
multiple head bonder 多焊接头压接机
multiple interference effect 多重干涉效应
multiple nozzle 多喷嘴(配料机)
multiple parallel plate electrode plasma enhanced CVD system 多平行电极等离子体增强型
CVD 系统
multiple tilt angle implantation 多倾斜角植入
multiple-hearth furnace 多床炉
multiplex test function 多工测试功能,多路复用测试功能
multiplexer 选通器,选择器
multiwall carbon nanotubes 多层碳奈米管
muscovite 白云母
熊猫宝宝
结帖率:
100%
关注:0 |粉丝:0
新手级: 新兵
micro computer ASIC(ASIC) 微电脑
micro-hardness 微硬度
microcline 钾微斜长石
microcomputer software 微电脑软体
microlite 微晶
microloading effect 微型加载效应
micromeritics 微粒学
micrometry 显微术
microminiaturization 微尘化
micron  microroughness 微型粗糙度
microscopy  microstructure  microvoid coalescence  (MVC) 
microwave plasma enhanced CVD system 微波等离子体增强型
CVD 系统
mil 密耳(1/1000寸)
milk glass 乳白玻璃
mill addition 磨机添加剂
Miller Bravais indices 密勒布喇菲指数  
mineral wool 矿物绵
mineralizer 矿化剂
minimum detectable pulse width 最小可?测脉冲宽
minimum pulse width 最小脉冲宽
minium 铅丹
mirror 反射镜
mirror lens projection aligner 镜面透镜对准曝光器
mirror projection aligner 镜面投影对准曝光器
mirror wafer 镜面晶圆
misfire 点火不良,无法发射
mismatch 失配
mist in bowl 碗盘内雾状
mix 混合料
mix simulator 混合模拟器
mix-and-match/M&M 混合与匹配/
M&M mixed dislocation  mixed gas 混合气体
mixed level simulator 混合位阶模拟器
mixed level test bus 混合位阶测试用汇流排
mixer 混合机
mixture 混合物
mobility 迁移率
model 模样(陶)
model parameter extraction 模型参数萃取
modeling 塑造
modeling clay 可雕塑成形的土;雕塑土;油土
modified illumination 变形照明
module 模组
module generator 模组产生器
module test 模组测试
modulus 模数
modulus of elasticity 弹性模数  
modulus of rigidity 刚性模数
modulus of rupture  Mohammedan blue 回回青
Mohs' hardness 莫士硬[度]标
Moir'e topography 莫氏构形学
moisture content 水分
moisture expansion 水分膨胀
mold clamping force 成型夹住力
mold cleaner/die cleaner 铸模洗涤器
mold release/release agent 铸模分离/铸模分离剂
mold temperature 铸模温度
molding die 成型铸模
molding equipment 塑模成型装置,封胶装置
molding press 封胶冲压
molding time 成型时间
molecular beam epitaxial growth system 分子束磊晶生长系统
molecule  molten-cast refractory 熔铸耐火物
monazite 独居石
Monel alloy 蒙纳合金(商名)
monitor 侦查器
monitor board 监测基板
monitored burn-in system 监视老化测试系统
monochrome decoration 单色彩
monolithic 整块的
monomer  monticellite 钙橄榄石
montmorillonite 微晶高岭石
mortar 墁料;水泥胶砂;灰泥;灰浆
mosaic 马赛克;驻嵌瓷片
mother board 母基板
MotifMotif 图形介面
mottling 斑点彩饰
moudlus of rupture 破坏模数
mould 模型
mould brick 模砖
mould mark 模痕
mount plate/top plate 固定板/上方板
mounter 安装器
mounting machine /mount station 晶圆固定机
mouse hole 老鼠洞
mouthpiece 口型
moving picture experts 动画专家群
mud gun 泥枪
muffle 隔焰室
muliple tilt angle implantation 多倾斜角植入
mulit media 多媒体
mulit media microcomputer 多媒体微电脑
muller mixer 碾式混合机
mullite 富铝红柱石
multi band saw 多条带锯子
multi blade saw 多刀片锯子
multi cassette rotor 多个套装匣转子
multi cycle annealing 多循环退火处理
multi magazine type 多个收盒型
multi pulling method 多段拉晶法
multi step annealing 多步骤退火处理
multi steps annealing 多步骤退火热处理
multi wire saw 多钢线锯子
multi-bath wet cleaning equipment 多槽浸渍式洗条装置
multi-bit memory application function 多位元记忆体应用功能
multi-bucket excavator 着斗挖土机
multi-cellular glass 泡沫玻璃
multi-chamber vacuum system 多室真空系统
multi-passage kiln 多隧窑
multi-plunger molding die 多柱塞型成型铸模
multi-site probing 多部位探测
multi-station cleaning equipment 多处理站洗条装置
multi-station synchronous probe test 多数测试站同步探针测试
multichip module 多晶片模组
multiplayer mirror optics 多层镜面光学
multiplayer resist method 多层抗蚀剂法
multiple bonding 多数个晶片接合
multiple head bonder 多焊接头压接机
multiple interference effect 多重干涉效应
multiple nozzle 多喷嘴(配料机)
multiple parallel plate electrode plasma enhanced CVD system 多平行电极等离子体增强型
CVD 系统
multiple tilt angle implantation 多倾斜角植入
multiple-hearth furnace 多床炉
multiplex test function 多工测试功能,多路复用测试功能
multiplexer 选通器,选择器
multiwall carbon nanotubes 多层碳奈米管
muscovite 白云母
熊猫宝宝
结帖率:
100%
关注:0 |粉丝:0
新手级: 新兵
n-methacrylamiden- 甲基丙烯醯胺
n-type semiconductorn 型半导体
n-vinylimidazole 氮领乙烯基咪唑
nacrite 珍珠陶土
nail head bonder 钉头式接合机,钉头式压接机
nano glass 奈米玻璃
nano photo-catalysis ceramics 奈米光触媒陶瓷
nano-motor 奈米马达
nano-sphere 奈米球
nanocomposite 奈米复合材料
nanofabrication 奈米制造
nanoimprint lithography 奈米印刷技术
nanometric lithography 毫微米微影术
nanomineral material 奈米矿物材料
nanoparticle 奈米粒子
nanostructured high-entropy alloys 奈米高熵合金
nanowire 奈米线
naple yellow 尼泊尔黄
narrow gap reactive ion etching system 狭窄间隙反应性离子蚀刻系统
native oxide layer 自然氧化膜`
natural abrasive 天然研磨料
natural cement 天然水泥
natural glass 天然玻璃
navigation 故障导航观察
NC-control chamfer machine 数值控制去角取面机
neat cement 净水泥
neat work 净砖工
neck 槽颈
neck breaking 颈部断裂 
needle 膏球针
nephelien 霞石
nepheline syenite 霞长石
nesting 阶层表达,阶层关系
net 连线网
net data 连线网资料
net driven editor 连线网驱动编辑器
net list 连线网表
network-forming ion 网工形成离子
network-modifying ion 纳工改性离子  
neutral atmosphere 中恍蒙气
neutral glass 中性玻璃
neutral refractory 中性耐火物
neutral-tinted glass 中性有色玻璃
neutralizer 中和剂
neutron transmutation doping wafer 参杂中子变嬗变晶圆
neutron-absorbing glass 中子吸收玻璃
new donor 新施体
nibbed saggar 内突匣钵
nickel dipping 镍盐浸
nickel metal hydride battery 镍氢电池
nitrides 氮化物  
node 节点
nodelock license 节点锁定许可证
nodular-fireclay 节状火黏土
nodules 生料粒
nodulizer 制粒机
nominal dimension 标称尺度
nomogramnomograoh non filling 未填满,未注满
non flammable solvent vapor drying 不可燃溶剂蒸汽乾燥
non mirror wafer 非镜面晶圆
non stick 没黏住,没固定
non-clay refractory 非黏土耐火物
non-load bearing tile 非载重砖(或瓦)
non-metallic inclusion 非金属夹杂物
non-standing wave type ultrasonic generator 非驻波型超音波产生器
non-stoichiometric 非化学计算的
non-vitreousnon-vitrified noncontact test system 非接触型测试系统  
normal bonding 正常接合,正向压接
normal brick 普通砖
normal single crystal 正常单结体  
nose-ring block 灰圈砖
notch 凹槽,缺口
notch test 缺口试验
nozzle 喷嘴
nozzle scan 喷嘴扫描
nuclear magnetic resonance method 核磁共振法
nuclear reactor ceramics 核反应器陶瓷
nucleation number of clock 定时脉冲数
number of timing phase 时钟脉冲相数
numbers of unremovable particle 残留粒子数
numerical aperture 数值孔径
熊猫宝宝
结帖率:
100%
关注:0 |粉丝:0
新手级: 新兵
o-triphenylmethylstarch 邻-三苯代甲基淀粉
o-vinyltoluene 邻乙烯基苯
oblique incidence illumination 倾射入射照明
oblique lighting 斜角照明
observability 可观察性
obsidian 黑曜石
ochre 赭石 
off line 离线
off-axis alignment 轴外对准
off-hand blowing 吹制法
off-hand ware 吹制玻璃器
offset 偏置
offset control 偏置控制
offset cylinder 胶版滚筒
offset printing press 胶版印刷机
oil spot 油斑
oligocllase 钠钙长石
olivine 橄榄石
on-axis alignment 轴上对准
on-glaze decoration 釉上彩
on-the-fly link blow 连接线快速烧断
on-the-fly split 快速分档改变
once-fired ware 一次烧成器
one bath type cleaning equipment 单槽型洗条设备
one layer tape 一层胶带
one-glaze ware 单釉器
opacifier 乳白剂
opacity 乳白度
opal 蛋白石
opal glass 乳白玻璃
opalescence 乳光
opaque ceramic glazed tile 不透明瓷釉面砖
opaque glass 不透明玻璃
opaque glaze 不透明釉
open cassette 开放式晶圆匣
open firing 敞烧
open hearth furnace 平炉
open setting 松装
opening material 疏松物料
operation box 操作箱
optical aligner 光对准曝光器
optical crown glass 光学晚玻璃
optical detector of particle on surfaces 表面微粒生学探测器
optical edge bead removal 晶圆边缘曝光球状物去除
optical glass classification 光学玻璃分类
optical metallographic examination 光学金相检验
optical metalographic examination 光学金相检验
optical pyrometer 光测高温计
optional beam induced current 光束感应电流
orange peel 橘皮状  
organic binder 有机接合剂
organic bond 有机黏合剂
organo-metallic CVD system 有机金属CVD 系统
organo-metallic VPE system 有机金属VPE 系统  
orientation flat 定向平面
orientation flat aligner/flat orientor 定向平面对准器
orientation flat arrange equipment 晶圆定向平面摆齐装置
orienter 定方位器
orifice ring 孔口环
ornamental brick 饰砖
orthoclase 正长石
Orton cones 奥吞[示温]锥
oscilating screen 摆动筛
osmosis 渗透
out diameter saw 外径锯子
outer diameter blade 外径刀片
outer lead 外界引线
outer lead bonder 外界脚端接合机
outer lead bonding 外界脚端接合  
outside heating method 外部加热法
over drive 超越驱动;多余驱动
over flow rinse 溢流冲洗
over ride 功能超越
over travel 超程;多余行程
over-erase prohibit function 过多删除禁止功能
over-etching 过份蚀刻
over-glazed 过度施釉
over-pickling 过度浸渍
over-programming prohibit function 过多程式设计禁止功能
overall timing accuray 全部定时信号精准度
overburden 表土
overflow cup etching system 溢流杯蚀刻系统
overglaze decoration 釉上彩
overhang 突出部分,县垂物
overlay accuracy 重叠精确度
overpress 超量(玻)
oversize 过大;筛上物
overspray 喷馆;面积
Owen's machine 欧文机  
oxidation induced stacking fault 氧化感应叠层缺陷
oxidation period 氧化期
oxidation seed 氧化种子
oxidation system 氧化系统
oxide ceramics 氧化物陶瓷
oxidizing flame 氧化焰
oxygen concentration monitor 氧气浓度监控器
oxygen donor 氧气施体
oxynitridation in N2O 在N2O 中之氧氮化
oxynitridation in N2O (NO)在N2O (NO)中之氧氮化
Ozone gas processor 臭氧瓦斯处理器
Ozone removal equipment 臭气去除装置
ozonized ultrapure water 臭氧化超纯水

熊猫宝宝
结帖率:
100%
关注:0 |粉丝:0
新手级: 新兵

p-styrenesulfonamide p- 乙烯氨磺醯
p-styrenesulfonic acid p-苯乙烯磺酸
p-toluenesulfonamide 对-甲苯磺醯胺
p-trimethoxysilylstyrene 对三甲氧甲矽烷基苯乙烯
p-type semiconductor p型半导体
p-vinylbenzamide 对乙烯基苯醯胺
p-vinyltoluene 对乙烯基苯
P-xylyenediamine polyamides 对双二胺甲基聚醯胺
p-xylylene 对苯二甲
p/n type measurement system p/n型测试器
package 包封体,封装体
package test 封装测试,封装体测试
packing density 填集密度
pad 衬垫
page test function 播叫测试功能
pale glass 灰绿玻璃
pallet 托板
pan mill 盘磨
panel brick 挡砖
panel spalling test 屏列剥落试验
parallel beam 平行波束
parallel downflow rinse 并行下流冲洗
parallel plate reactive ionetching system 平行板反应性离子蚀刻系统
parallel seam resistance welding equipment 并列缝合电阻缝焊装置
parallel test function 并行测试功能,同时测定机能
parameter extraction 参数萃取
parian 巴黎安瓷
paris white 巴黎白
parison 型坯
partial site 部分区分地段
particle size 粒度
particle-size analysis 粒度分析
parting line 分模线
partition tile 隔砖
pass transistor design 传递电晶体设计
paste 糊
paste bonding 糊胶接合
pat test 泥饼试验
patching 修补
pattern generator 图案产生器
pattern matching method 图案选配法
pattern matching mode 图案匹配模式
pattern matching ratio 图案匹配比
pattern recognition 图案识别
pattern recognition capture range 图案识别捕捉范围
pattern recognition of object outline 物体外形图案识别
pattern recognition speed 图案识别速度
pattern shift 图案偏移
paving brick 铺地砖
peach bloom 桃红
peacock blue 孔雀蓝
pearl ash 珍珠灰
pebble 卵石
pebble heater 卵石加热器
pebble mill 卵石磨
pedestal 保温台座
peel off/bond lift off 剥离/压接脱离
peeling 脱皮
pegmatite 伟晶花岗岩
pei-tun-tze 白爪(音敦)子
pelletizer 制粒机
pencil edging 圆边法
peptize 解胶
per-pin resource tester 每一脚资源测试器
per-pin tester 每一脚端测试器
percent usable area 可用区域百分比
perforated brick 多孔砖;散气砖
perform 预制(玻)
performance board 工作特性基板
performer 预先成形机
periclase 方镁石
periodic kiln 间歇窑
peripheral component interconnect 周边组件互相连接规格
peripheral processor 周边处理器
peripheral speed 周边速率    
perlite 珠岩
permanent linear change 永久线胀缩  
perovskite 钙钛矿
perthite 条纹长石
petalite 叶长石
Petersen air elutriator 倍德逊气析器 
PH adjustment equipment PH 值调整装置
phase clock 相位时钟脉冲 
phosphorescence 磷光
photo assisted CVD system 光辅助型CVD 系统
photo assisted vapor phase epitaxial growth system 光辅助汽相磊晶生长系统
photo excited ashing system 光激励灰化系统
photo excited etching system 光激励蚀刻系统
photo-capacitance method 光电容法
photo-chemical cleaning equipment 光化学洗涤设备
photo-conduction method 光电导法
photo-elastic stress-strain analysis method 光弹性应力-应变分析法
photo-luminescence method 光激发光法
photocurrent method 光电流法
photoelectric pyrometer 光电高温计
photoelectric sensing method 光电感测法
photolithography 光蚀刻微影
photometric pyrometer 光度高温计
photosensitive glass 感光玻璃
physical conversion 物理变换
physical design exchange format 实体设计交换格式
physical metallurgy 物理治金学
physical property parameter of material 材料物理性能参数
piano wire screen 琴钢线筛
pickle basket 浸酸篮  
picotite 铬尖晶石
picture-to-picture operation 影像间演算
PID temperature controlPID 温度控制
pigment 颜料
pigskin 猪皮状(陶)
pillar 柱
pilot pin 引导插栓
pin chuck 支杆夹头
pin electronics 脚端介面电路
pin mark 针迹
pin-hole 针孔
pin-multiplex function 脚端选通功能
pinch cutting 夹断部切断
pinite 块云母
pipe blister 吹管泡(玻)
pipette method 吸管(测定粒度)法
piranha cleaning (吃人鱼)白骨化洗涤
pit 坑
pitchers 素烧碎片
pixel-to pixel operation 像素间演算
placer 装窑工
placing sand 垫砂
plagioclase 斜长石
planar magnetron sputtering system 平面磁控管溅镀系统
planarization 平坦化
plane defect 面缺陷
plasma assisted controlled thinning method 等离子辅助控制薄膜化加工法
plasma cleaning 等离子体清除,电桨清除
plasma cleaning equipment 等离子体洗条设备
plasma contamination 等离子体污染
plasma damage 等离子体损伤
plasma doping system 等离子体掺杂系统
plasma enhanced CVD system 等离子体增强CVD 系统
plasma etching system 等离子体蚀刻系统
plasma flood gun 淹没式等离子体枪
plasma oxidation furnace 等离子体氧化系统
plasma spraying 电浆喷敷法
plasma TEOS CVD method 等离子体TEOS CVD 法
plasma trap 等离子体陷阱
plaster of paris 熟石膏
plastic making 塑性成形
plastic pressing 塑性压制
plastic refractory 可塑耐火物
plasticity 塑性
plastometer 塑性测定计
plate glass[ 磨光]板玻璃
platen 压板
Platform 操作台  
plucking 剥痕
plug 塞
plumbago 碳陶料
plunge up 将晶片往上顶超
plunger 柱塞
ply glass 层合玻璃
pneumatolysis 气化
Pod 密闭荚式容器
POGO contact 弹簧针头接点
POGO pin POGO 针头
point defect 点缺陷 
poling 木杆净化法(玻)
polished wafer 经抛光晶圆
polishing 磨光
polishing cloth/polishing pad 抛光布/抛光垫
polychrome decoration 多色彩
polycrystal 多结晶
polyimide coating 聚醯亚胺涂敷
porcelain 瓷;瓷器
porcelain enamel 搪瓷;珐琅
porcelain tile 瓷砖
pore water 孔隙水
pore-size distribution 孔度分布
porosimeter 孔隙计
port 口;喷火口
Portland blast furnace slag cement 炉渣[卜特兰]水泥
post acceleration system 後段加速系统
post cut 接线柱剪断
Post exposure pre development bake 曝光後显影前之烘烤处理
post-bake/after-bake 事後烘烤处理
post-treatment chamber 後端处理室
pot[ 熔]罐
pot arch 烘罐窑
pot clay 制罐黏土
pot furnace 罐炉
potential measurement 电位测量
potette 醮料器
potter wheel 陶轮
pottery 陶瓷器;陶瓷
potting equipment 灌注装置
pounding mill 撞曰
powder 微粒
powder metallurgy antifriction material 粉末冶金减摩材料
powder metallurgy friction material 粉末冶金摩擦材料
powder metallurgy high speed steel 粉末冶金高速钢
powder metallurgy porous material 粉末冶金多孔材料
powder metallurgy structural parts 粉末冶金结构零件
power consumption analysis 消耗功率分析
power synthesis 功率合成
pozolana 卜作岚
pre heater 预热器
pre heating 预先加热
pre-alignment 预先调准
pre-bake 预先烘烤处理
Pre-cleaning chamber 预先清除室
pre-coat filter 预敷过滤器
Pre-dispense 预先配药
pre-heater 预先加热器
pre-purge 预先纯化
Pre-sputtering 预先溅镀处理
pre-wafer test 晶圆前置测试
pre-wet 预先沾湿
preacceleration system 前置加速系统
prealignment 预先对准
preamplifier 前级放大器 
precipitation of oxygen 氧气析出
prefabricated masnry 预组圬工
press bolt 加压螺丝
press ring 加压环
press-and-blow process 压吹法
pressed glass 压制玻璃
猜你喜欢最新推荐热门推荐更多推荐
品牌合作伙伴